互联网

我去年买了个表

网络流行语

中文名:我去年买了个表 外文名:I bought a watch last year 含义:QNMLGB 出处:陕西安监局杨表哥事件 性 质:脏话、表达愤怒不满情绪
我去年买了个表介绍
“去年买了个表”起因是一位网易网友用拼音缩写回复,因为是粗话,所以用的拼音缩写WQNMLGB,结果被楼下的网友翻译成:我去年买了个表。这句话骂人不带脏字,成了众多网友的口头禅,同时也衍生出了我去年买了个表表情包![1]

基本内容

一种替代词,网络用语,由于很多论坛会设置关键词过滤,最初的“去年买了个表”的形成是由于:有些网友用于替代“去你妈了个逼。”被摒弃掉,而做替代的一种表达。

出处

“去年买了个表”起因是一位网易网友用拼音缩写回复,因为是粗话,所以用的拼音缩写WQNMLGB,结果被楼下的网友翻译成:我去年买了个表;

现在,这话火了,大家在表达不满情绪的时候,含蓄的用这句话来表达了:我去年买了个表=我去你妈了个逼,是一个意思。

用法

常用环境有网络论坛、网络游戏附语,偶尔也会出现在团队语音当中,但是据调查,概率极低。偶尔也会出现在网名的签名和状态中,用以表现其愤怒情绪。

由于是网络词汇,让我们深切的意识到,网络文化正在与现实当中的词汇,语言,以及很多方面脱节,分离,分化。这或许可以说成是一个新网络世界诞生的分水岭。

使用人群

网络玩家

特征:网络俚语,不满情绪,调戏网友等。

延伸语句

我去年买了个表,超耐磨!WQNMLGB,CNM!

我去年买了个板凳。WQNMLGBD

文艺版是:忘却难免留个疤。WQNMLGB

我去年买了个包。WQNMLGB!

回复语句

“我去年买了个表”WQNMLGB

“啥表”SB

相关资讯
内容声明

1、本网站为开放性注册平台,以上所有展示信息均由会员自行提供,内容的真实性、准确性和合法性均由发布会员负责,本网站对此不承担任何法律责任。

2、网站信息如涉嫌违反相关法律规定或侵权,请发邮件至599385753@qq.com删除。

Copyright © 趣爱秀